jump to navigation

laga semi final aff cup 2010 indonesia lawan filipina di Gelora Bung Karno! Desember 9, 2010

Posted by dedewinasis in Indonesia, sport.
Tags: , , , , , , , , ,
trackback

Laga semi final Indonesia lawan Filipina akan diadakan di Indonesia. Sangat mengejutkan memang, setelah Indonesia tampil sempurna tanpa kalah, hingga mendapatkan tiket untuk maju ke partai semi final untuk bertanding melawan Filipina. Hal ini menjadi keuntungan tersendiri bagi Timnas Garuda dan supporternya. Diumumkan Federasi Sepak Bola ASEAN (AFF), dalam situs AFF Suzuki Cup 2010, Kamis (9/12), tidak ada laga pertandingan home and away untuk pertandingan Indonesia melawan Filipina dan menunjuk Stadion Utama Gelora Bung Karno (GBK) Jakarta sebagai tempat laga dua pertandingan semifinal pada 16 dan 19 Desember mendatang.

Keputusan tersebut dinyataan Federasi Sepak Bola Filipina (PFF) yang meminta AFF agar pertemuan pertama tidak digelar di Filipina karena mereka tidak mempunyai lapangan yang memenuhi syarat. Keputusan ini jelas memberikan keuntungan besar bagi tim Merah Putih karena akan mendapat dukungan penuh dari para penonton yang selalu memerahkan stadion Gelora Bung Karno Jakarta.

Pertandingan semifinal yang dijadwalkan kedalam 2 legium, dimana antar legiumnya dibedakan kedalam partai tandang dan tuan rumah. Pada awalnya pertandingan semi final legium pertama ditawarkan ke negara yang netral mengingat filipina tidak memiliki stadion yang memenuhi standar. Negaranya di tawarkan di Malaysia dan Singapura. Dan legium kedua diadakan di Jakarta sebagai partai tuan rumah.

pict from : www.affsuzukicup.com

Dua pertandingan yang akan diadakan di Indonesia, tepatnya di Gelora Bung Karno akan menjadi keuntungan yang sangat besar bagi Negara kita, dimana pemain akan mendapatkan dukungan penuh dari penonton di kedua pertandingan. Selain itu, hal-hal diluar sepakbola seperti faktor ekonomi tentunya menjadi hal yang positif bagi para penjaja aksesoris bagi pendukung Timnas. Euforia kebangkitan timnas kali ini sudah merasuk ke hati masyarakat Indonesia. Penonton dari luar kota pun rela menuju Jakarta demi melihat pertandingan laga Bambang, Gonzalez, Firman utina, dan Irfan Bachdim dkk. Saya pun hanyut di euforia sepakbola ini, dari baju timnas, penutup kepala sampai ke syalpun rela saya beli demi mendukung timnas. Tak lepas dari itu.

Semoga saja aksi Timnas kali ini bisa mencapai ke babak Final dan berujung pada kemenangan. Sebenarnya tidak sampai ke final pun sudah suatu kemajuan yang sangat berati setelah keterpurukan timnas selama bertahun-tahun. Namun, harapan besar pasti diinginkan oleh pecinta timnas. Mari kita doakan semoga timnas yang mendapat semangat baru dari beberapa pemain naturalisasi ini bisa meraih pencapaian maksimal. Bangkitlah persebakbolaan Indonesia!!

Berikut informasi jadwal pertandingan next round suzuki AFF CUP 2010 :

Komentar»

1. Juragan Bebek - Desember 12, 2010

Pertamax…


Tinggalkan komentar